Contents: 2024 | 2023 | 2022 | 2021 | 2020 | 2019 | 2018 | 2017 | 2016 | 2015 | 2014 | 2013 | 2012 | 2011 | 2010 | 2009 | 2008 | 2007 | 2006 | 2005 | 2004 | 2003 | 2002 | 2001

2005, 10

Rachid Guelaz, Djilali Kourtiche, Mustapha Nadi, Patrice Roth

Double element ultrasonic piezoceramic transducer modeling with VHDL-AMS: application to B/A nonlinear ultrasonic parameter measurement in pulse-echo mode

language: English

received 18.02.2005, published 27.04.2005

Download article (PDF, 360 kb, ZIP), use browser command "Save Target As..."
To read this document you need Adobe Acrobat © Reader software, which is simple to use and available at no cost. Use version 4.0 or higher. You can download software from Adobe site (http://www.adobe.com/).

ABSTRACT

This paper presents an ultrasonic transducer modeling with VHDL-AMS standard (Very High speed integrated circuits Hardware Description Language – Analog and Mixed Signal) referenced IEEE 1076.1, integrated in a global measurement cell modeling dedicated to biological tissues ultrasound characterization. Usual modeling of ultrasonic transducer are based on electrical analogy and are not simulated in the global measurement environment which must include non linear medium behavior and electronic coupling. The ultrasonic transducer modeling proposed is simulated with the nonlinear acoustic load and electronic excitation. It was composed by a first element with a piezoceramic ring structure vibrating at a frequency of 2,25 MHz in thickness mode. The second element is stuck into the center of the first. This geometrical form is a disc vibrating at 4,5 MHz in thickness mode too. Nonlinear B/A parameter is used to characterize medium with a comparative method. The measurement cell is composed by a piezoceramic transducer which is implemented with the Redwood’s electric scheme and a metallic reflector into a Plexiglas structure. The analyzed medium is placed between the transducer and a metallic reflector. Medium is modeled with take into account the nonlinear propagation with B/A parameter. The usual transmission line model has been modified to take into account the nonlinear propagation for a one dimensional wave. Simulations of the transducer pulse response and impedance show a VHDL-AMS model in good agreement with measurement. Results simulation of the measurement cell modeling are in agreement with well known B/A values of biological mediums.

16 pages, 10 figures

Сitation: Rachid Guelaz, Djilali Kourtiche, Mustapha Nadi, Patrice Roth. Double element ultrasonic piezoceramic transducer modeling with VHDL-AMS: application to B/A nonlinear ultrasonic parameter measurement in pulse-echo mode. Electronic Journal “Technical Acoustics”, http://www.ejta.org, 2005, 10.

REFERENCES

1. Y. Hervé. VHDL-AMS: applications et enjeux industriels. Edition Dunod. 2002.
2. Vachoux, Alain. Analog and Mixed-Signal Extensions to VHDL. Analog Integrated Circuits and Signal Processing Journal in Kluwer Academic Publishers, 1998, v. 16, 185–200.
3. S. A. Morris and C. G. Hutchens. Implementation of Mason’s model on circuit analysis programs. IEEE Trans. Ultrason. Ferroelect. Freq. Contr., 1986, v. 33, 295–298.
4. W. M. Leach, Jr. Controlled-source analogous circuits and SPICE models for piezoelectric transducers. IEEE Trans. Ultrason. Ferroelect. Freq. Contr., 1984, v. 41, 60–66.
5. T. Christopher. Experimental investigation of finite amplitude distortion-based, second harmonic pulse echo ultrasonic imaging. IEEE Trans. Ultrason. Ferroelect. Freq. Contr., 1998, v. 45, 158–162.
6. X. F. Gong, Z. M. Zhu, T. Shi, J. Huang. Determination of the acoustic nonlinarity parameter in biological media using FAIS and ITD methods. J. Acoust. Soc. Am., 1989, v. 86, 1–5.
7. C. M. Seghal, R. C. Bahn, J. F. Geenleaf. Measurement of the acoustic nonlinearity parameter B/A in human tissues by a thermodynamic method. J. Acoust. Soc. Am., 1984, v. 76, 1023–1029.
8. L. Bjorno. Characterization of biological media by means of their non-linearity. Ultrasonics, 1986, v. 24.
9. S. Saito. Measurement of the acoustic nonlinearity parameter in liquid media using focused ultrasound. J. Acoust. Soc. Am., 1993, v. 93, 162–172.
10. D. Kourtiche, L. Allies, A. Chitnalah and M. Nadi. Harmonic propagation of finite amplitude sound beams: comparative method in pulse echo measurement of nonlinear B/A parameter. Measurement Science and technology, 2001, v. 12, 1990–1995.
11. R. Guelaz, D. Kourtiche, Y. Hervé and M. Nadi. Ultrasonic piezoceramic transducer modeling with VDL-AMS IEEE 1076.1. in Proc. IEEE Sensors, 2004.
12. M. Redwood. Transient performance of a piezoelectric transducer. J. Acoust. Soc. Amer., 1961, v. 33, 527–536.
13. S. Sherrit, S. P. Leary, B. P. Dolgin and Y. Bar-Cohen. Comparison of the Mason and KLM equivalent circuits for piezoelectric resonators in the thickness mode. in Proc. IEEE Ultrasonics Symposium, 1999, v. 2, 921–926.
14. W. P. Mason. Electromechanical transducers and wave filters. Van Nostrand, 2nd ed. New York, 1942.
15. R. Krimholtz, D. A. Leedom and G. L. Matthei. New equivalent circuits for elementary piezoelectric transducers. Electron. Lett., 1970, v. 6, 398–399.
16. C. G. Hutchens and S. A. Morris. A three port model for thickness mode transducers using SPICE II. IEEE Ultrasonics Symposium, 1984, 897–902.
17. E. Maione, P. Tortoli, G. Lypacewicz, A. Nowicki, J. M. Reid and L. Fellow. PSPICE modelling of ultrasound transducers: comparison of software models to experiment. IEEE Ultrason., Ferroelect., Freq. Contr., 1999, v. 46, 399–406.
18. R. Guelaz, D. Kourtiche and M. Nadi. B/A parameter modelling with VHDL-AMS for a compared method measurement. in Proceedings of the Eleventh International Congress on sound and vibration, 2004.
19. J. M. Burgers. A mathematical model illustrating the theory of turbulence. Advances in applied mechanics, 1948, v. 1, 171–199.
20. R. Guelaz, D. Kourtiche, M. Nadi. A behavioral description with VHDL-AMS of a piezoceramic ultrasound transducer based on the Redwood's model. in Proceedings of FDL’03: Forum on Specification and Design Languages, 2003, 32–43.
21. Brissaud M. Characterization of piezoceramics. IEEE Trans. Ultrason., Ferroelect., Freq. Control. 1991, v. 38, 603–617.
22. R. Guelaz, D. Kourtiche, Y. Hervé, M. Nadi. Modeling of the ultrasonic nonlinear propagation with VHDL-AMS. in Proceedings of FDL’04: Forum on Specification and Design Languages, 2004.


 

Rachid GUELAZ was born in Saint-Dizier, France, in 1977. He received his Masters in instrumentation and microelectronic at the University Henri Poincaré of Nancy, France, in 2002. Since 2002 he has been at the Laboratoire d’Instrumentation Electronique de Nancy (LIEN) at the University of Nancy as Ph.D. student in instrumentation and microelectronic. His research interest is modeling of ultrasonic systems with VHDL-AMS language for MEMS applications.

e-mail: rachid.guelaz(at)lien.uhp-nancy.fr

 
 

Djilali KOURTICHE was born in Sfisef, Algeria, in 1962. He received the Eng. degree in Electrical Engineering from University of Sciences and Technology, Oran, Algeria, in 1985, and The Ph.D. degree from Henri Poincare University (UHP, Nancy, France) in 1991. He is Professor of Electrical Engineering at the university H. Poincaré Nancy France. His current research interest includes nonlinear ultrasound and ultrasound systems modeling.

 
 

Mustapha NADI was born in Marrakech, Morocco in 1957. He obtained his Masters in Electronics and Instrumentation at the Henri Poincaré Nancy University in 1985 and his PhD in 1990. He is currently Professor in Electronics and Instrumentation at the University Henri Poincaré of Nancy where he teaches Electronics and Instrumentation for both graduate and undergraduate levels at the Faculty of Sciences. He directed over 25 Master and PhD thesis in Instrumentation and electronics and was also referee or chairman in PhD juries in Europe, Morocco, Canada and France. He is listed as expert in the 6th PCRD European research program and was expert in biomedical technologies and instrumentation for different national or international institutions (WHO, French Foreign Affairs Ministry, AFSSAPS, EDF, European CENELEC). His main research fields concern spectroscopy and non linear ultrasound.

 
 

Patrice ROTH was born near Nancy, France in 1961. In 1986, he joined the faculty of Sciences in Nancy as a research technician. His activity area concerns ultrasonic and biomedical projects, he indeed imagines and implements the technical solutions necessary to their applications.